Welcome![Sign In][Sign Up]
Location:
Search - 16x2 lcd fpga

Search list

[Other resource16X2-LCD-FPGA

Description: 16X2液晶显示屏的FPGA显示驱动设计。
Platform: | Size: 400497 | Author: lixu | Hits:

[Other systemsfpga+1602

Description: 本程序用VHDL语言编程实现FPGA对点阵液晶1602的驱动 -This procedure using VHDL language programming FPGA to realize the 1602 dot-matrix LCD driver
Platform: | Size: 1024 | Author: pdu | Hits:

[ARM-PowerPC-ColdFire-MIPS16X2_LCD_DRIVE_FPGA

Description: FPGA驱动STN (16x2)的程序,没有相应的液晶屏是看不到效果的,而且不是个工程文件,只能从中学习下,驱动液晶的信号怎么来。-err
Platform: | Size: 399360 | Author: 王网 | Hits:

[VHDL-FPGA-Verilog16X2-LCD-FPGA

Description: 16X2液晶显示屏的FPGA显示驱动设计。-16x2 LCD display driver design of the FPGA.
Platform: | Size: 400384 | Author: lixu | Hits:

[VHDL-FPGA-VerilogLCD1602

Description: 液晶1602的FPGA驱动程序,可实现16x2的字符显示-1602 FPGA LCD drivers, enabling 16x2 character display
Platform: | Size: 2048 | Author: 英庆 | Hits:

[VHDL-FPGA-Veriloglcd

Description: This source is used to control LCD 16x2 on FPGA board
Platform: | Size: 1024 | Author: tunglinh | Hits:

CodeBus www.codebus.net